[cyber] I: Sisyphus-20191101 x86_64 beehive_status: +13 -9 (277)

ALT beekeeper hiver на altlinux.org
Пт Ноя 1 10:46:23 MSK 2019


	13 NEW error logs

NetworkManager-1.18.4-alt1
	# SKIP:  6
	# XFAIL: 0
	# FAIL:  0
	# XPASS: 0
	# ERROR: 1
	See ./test-suite.log

SNNS-4.3-alt1.1.1.1
	ar  rc	lib3d.a net_analyzer.o d3_xUtils.o d3_global.o d3_anageo.o d3_shade.o d3_lists.o
	d3_point.o d3_dither.o d3_zgraph.o d3_fonts.o d3_graph.o d3_draw.o d3_disp.o d3_move.o
	d3_zValue.o d3_setup.o d3_units.o d3_links.o d3_model.o d3_project.o d3_light.o
	d3_pannels.o d3_main.o bn_bignet.o bn_basics.o bn_art1.o bn_art2.o bn_artmap.o
	bn_TD_bignet.o bn_kohonen.o bn_assoz.o o_graph.o bn_JordElm.o ui_class.o bn_bighop.o
	ranlib	lib3d.a
	make[1]: Leaving directory '/usr/src/RPM/BUILD/SNNSv4.3/xgui/sources'
	make[1]: Entering directory '/usr/src/RPM/BUILD/SNNSv4.3/xgui/sources'
	x86_64-alt-linux-gcc  libxgui1.a libxgui2.a lib3d.a \
	-lXaw3d -lXmu -lXt -lXext -lSM -lICE  -lX11  \
	../../kernel/sources/libkernel.a ../../kernel/sources/libfunc.a -lm -lfl  -o xgui
	/usr/bin/ld.default: libxgui1.a(ui_controlP.o): in function `ui_rem_performPrunInit':
	/usr/src/RPM/BUILD/SNNSv4.3/xgui/sources/ui_controlP.c:1191: warning: the use of
	`tempnam' is dangerous, better use `mkstemp'
	make[1]: Leaving directory '/usr/src/RPM/BUILD/SNNSv4.3/xgui/sources'

freeipa-4.7.3-alt1
	PYTHONPATH=. /usr/bin/python3 -m pylint \
	--rcfile=./pylintrc \
	--load-plugins pylint_plugins \
	${FILES}
	Pylint on /usr/bin/python3 is running, please wait ...
	************* Module ipalib.request
	ipalib/request.py:78: [E1101(no-member), destroy_context] Instance of
	'bool' has no 'disconnect' member)
	Your code has been rated at 10.00/10
	make: *** [Makefile:1304: pylint] Error 2
	make: Leaving directory '/usr/src/RPM/BUILD/freeipa-4.7.3'

gear-2.2.0-alt1
	tests passed:	  356  99%
	tests failed:	    1	0%
	tests skipped:	    0	0%

libical-3.0.6-alt1
	html/ICalAttach.html:342: warning: no link for: "NULL:CAPS" -> (<code
	class="literal">NULL</code>).
	html/ICalRecurrence.html:1095: warning: no link for: "GArray" -> (<span
	class="type">GArray</span>).
	html/ICalTimeSpan.html:147: warning: no link for: "g-object-unref" -> (<code
	class="function">g_object_unref()</code>).
	html/ICalTimezone.html:1183: warning: no link for: "FILE:CAPS" -> (<span
	class="type">FILE</span>).
	html/api-index-full.html:27: warning: no link for:
	"libical-glib-i-cal-forward-declarations" -> (i-cal-forward-declarations).
	make[2]: Leaving directory '/usr/src/RPM/BUILD/libical-3.0.6/BUILD'
	make[1]: Entering directory '/usr/src/RPM/BUILD/libical-3.0.6/BUILD'
	[ 99%] Built target gtkdoc-libical-glib
	make[1]: Leaving directory '/usr/src/RPM/BUILD/libical-3.0.6/BUILD'
	make: *** [Makefile:141: all] Error 2

osgEarth-2.10.2-alt2
	/usr/src/RPM/BUILD/osgearth-2.10.2/src/osgEarthSymbology/GEOS.cpp: In function
	'geos::geom::CoordinateSequence* {anonymous}::vec3dArray2CoordSeq(const
	osgEarth::Symbology::Geometry*, bool, const geos::geom::CoordinateSequenceFactory*)':
	/usr/src/RPM/BUILD/osgearth-2.10.2/src/osgEarthSymbology/GEOS.cpp:67:56:
	error: cannot convert 'std::unique_ptr<geos::geom::CoordinateSequence>' to
	'geos::geom::CoordinateSequence*' in initialization
	67 |	     geom::CoordinateSequence* seq = factory->create( coords );
	--
	/usr/src/RPM/BUILD/osgearth-2.10.2/src/osgEarthSymbology/GEOS.cpp: In function
	'geos::geom::Geometry* {anonymous}::import(const osgEarth::Symbology::Geometry*, const
	geos::geom::GeometryFactory*)':
	/usr/src/RPM/BUILD/osgearth-2.10.2/src/osgEarthSymbology/GEOS.cpp:158:65:
	error: no matching function for call to
	'geos::geom::GeometryFactory::createPolygon(geos::geom::LinearRing*&,
	std::vector<geos::geom::Geometry*>*&) const'
	158 |			      output = f->createPolygon( shell, holes );

perl-Net-Server-Mail-0.28-alt1
	t/smtp.t ...... ok
	# Error: Can't call method "peerhost" on an undefined value at t/starttls.t line 131.
	# kill 9, 14926 (server)
	--
	Result: FAIL
	Failed 1/4 test programs. 0/33 subtests failed.
	make: *** [Makefile:783: test_dynamic] Error 255

perl-Object-Remote-0.004000-alt2
	No subtests run
	#   Failed test 'no error during bridge access'
	#   at t/bridged.t line 17.
	--
	No subtests run
	#   Failed test 'Connection failed with time out'
	#   at t/timeout.t line 16.
	--
	Failed 1/2 subtests
	#   Failed test 'scalar refs - no errors during construction'
	#   at t/transfer.t line 20.
	--
	#     expected: undef
	#   Failed test 'scalar refs - no errors during attribute set'
	#   at t/transfer.t line 26.
	--
	#     expected: undef
	#   Failed test 'filehandles - no error during construction'
	#   at t/transfer.t line 37.
	--
	#     expected: undef
	#   Failed test 'filehandles - no error during remote handle'
	#   at t/transfer.t line 45.
	--
	#     expected: undef
	#   Failed test 'filehandles - no error during remote read'
	#   at t/transfer.t line 53.
	--
	#     expected: undef
	#   Failed test 'filehandles - no errors during binmode'
	#   at t/transfer.t line 59.
	--
	t/await.t	    (Wstat: 65280 Tests: 1 Failed: 1)
	Failed test:  1
	Non-zero exit status: 255
	--
	t/bridged.t	    (Wstat: 256 Tests: 1 Failed: 1)
	Failed test:  1
	Non-zero exit status: 1

python-module-islpy-2018.2.1-alt1
	Selecting python-dev for 'python-devel'
	E: Couldn't find package python-module-pybind11
	hsh-install: Failed to calculate package file list.

python-module-meshpy-2018.2.1-alt1
	rpm-build-python is already the newest version.
	E: Couldn't find package python-module-pybind11
	hsh-install: Failed to calculate package file list.

python-module-pebble-4.3.7-alt1.git20180228
	test/test_pebble.py::TestWaitForQueues::test_waitforqueues_restore
	hasher-priv: master: idle time limit (3600 seconds) exceeded

python-module-pycobertura-0.10.5-alt2
	with open('report.out') as f:
	E   IOError: [Errno 2] No such file or directory: 'report.out'
	- generated xml file: /usr/src/RPM/BUILD/python-module-pycobertura-0.10.5/junit.xml -
	--
	=========================== short test summary info ============================
	FAILED tests/test_cli.py::test_diff__output_to_file__force_color - IOError: [...
	tests/test_cobertura_diff.py::test_diff_total_hits_by_class_file =====================
	1 failed, 96 passed in 1.16 seconds ======================
	--
	tests/test_cobertura_diff.py::test_diff__has_better_coverage PASSED	 [ 59%]
	tests/test_cobertura_diff.py::test_diff__has_not_better_coverage ERROR: InvocationError
	for command /usr/src/RPM/BUILD/python-module-pycobertura-0.10.5/.tox/py27/bin/py.test
	(exited with code 1)
	PASSED	[ 60%]
	--
	tests/test_filesystem.py::test_filesystem_directory__with_source_prefix PASSED [ 65%]
	tests/test_filesystem.py::test_filesystem_zip__file_not_found ERROR: invocation failed
	(exit code 1)
	Б°√ FAIL py27 in 16.155 seconds
	--
	___________________________________ summary ____________________________________
	ERROR:	 py27: parallel child exit code 1
	py37: commands succeeded

racket-7.3-alt1
	raco setup: 4 re-rendering: <pkgs>/racket-index/scribblings/main/release.scrbl
	raco setup: 3 re-rendering: <pkgs>/scribble-doc/scribblings/scribble/scribble.scrbl
	raco setup: 2 re-rendering: <pkgs>/racket-index/scribblings/main/start.scrbl
	raco setup: 1 re-rendering:
	<pkgs>/typed-racket-doc/typed-racket/scribblings/ts-reference.scrbl
	raco setup: 0 re-rendering: <pkgs>/racket-index/scribblings/main/local-redirect.scrbl
	raco setup: 4 re-rendering: <pkgs>/racket-index/scribblings/main/search.scrbl
	make[1]: *** [Makefile:196: install-3m] CPU time limit exceeded
	make[1]: Leaving directory '/usr/src/RPM/BUILD/racket-7.3/src'
	make: *** [Makefile:119: install] Error 2
	make: Leaving directory '/usr/src/RPM/BUILD/racket-7.3/src'

	9 error logs REMOVED from the list
atlas-3.9.35-alt1.qa1
cilk-5.4.6-alt11
fortranposix-0.1.1-alt1
libspatialite-4.3.0a-alt3
meschach-1.2-alt1.b.3
perl-HTTP-Proxy-0.304-alt2
postgis-2.5.3-alt1
spatialite-gui-1.7.1-alt3
spatialite-tools-4.3.0-alt2

Total 277 error logs.


Подробная информация о списке рассылки Sisyphus-cybertalk